華文網

一文讀懂Fan-out面臨的未來挑戰

Fan-out 晶圓級封裝市場正在升溫。比如在高端市場,幾家封裝廠正在開發能達到新里程碑的新 Fan-out 封裝技術——可以達到或突破神奇的 1µm 線/空間(line/space)限制。但這項技術也面臨著一些挑戰,因為其可能需要成本更高的處理流程和光刻這樣的設備。

今天,這個行業正在開發著多種類型的具有不同規格和流程的封裝技術。一個常見的規格是重新佈線層(RDL/redistribution layer)中的線和空間特徵。一個 RDL 是由在一個 die 上形成的一層或多層構成的,其中包含了銅金屬連接線或從一個位置重新佈線到 die pad 的跡線(trace)。線和空間分別是指金屬跡線和它們之間的空間的寬度。

圖 1:重新佈線層,來自 Lam Research

隨著 Fan-out 所集成的晶片越來越複雜,

就可能需要越來越多的層,而且線和空間也更加精細。比如,今天的 Fan-out 封裝的範圍是 5µm 線和 5-5µm 空間及以上,也有 2-2µm 在運作。在研發方面,一些人在研究 1-1µm 及以下的高端 fan-out 技術,包括能夠支援高頻寬記憶體(HBM)的封裝。2-2µm 的 fan-out 針對的是網路/伺服器應用,這項技術可能很快就將出現,而 1-1µm 則可能在 2020 年左右誕生。

分析師稱 Amkor、ASE、台積電等公司正在開發 1-1µm 左右及以下的 Fan-out 封裝。 Yole Développement 的分析師 Jérôme Azémar 說:“這種線和空間水準的Fan-out 在多個玩家那裡都處於研發階段。

到目前為止,路線圖的主要目標是在標準 RDL 工藝下達到 2-2µm。但通過使用晶圓廠的 BEOL 作為補充步驟,是有可能達到甚至超過 1-1µm 的。”

Azémar 所指的工藝步驟既在封裝廠中有所應用,也被用在了晶圓廠的後端處理(BEOL)中。但也許在 fan-out 流程中的最大改變涉及到光刻設備,其可被用於對 RDL 跡線電路、通孔和封裝中的其它結構進行圖案化處理。

對於 1-1µm 及以下的 fan-out,供應商必須切換波長並遷移到 i-line 或 365nm 光刻工具,這可能會導致成本和複雜性的增長。

考慮了這些問題後,對成本敏感的封裝廠就會面臨一些艱難的抉擇。一方面,2-2µm 及以下的 fan-out 僅針對高端客戶群。並不是所有客戶都需要這種水準的 fan-out 封裝,因為 5-5µm 及以上就已經很適合大多數應用了。

所以在回報不確定的情況下,封裝廠有必要投資研發高端 fan-out 嗎?還是說應該緩一緩,關注更加主流的技術?封裝廠需要根據幾個方面來進行權衡。

在一個關鍵的設備方面,他們需要仔細考慮光刻技術這個選擇。對於封裝,主流的光刻工具是掩模對準器(mask aligner)和 stepper 。現在也有一些供應商在開發新的光刻工具,包括鐳射燒蝕以及使用多個光束的直接成像或無掩模光刻系統。另一種值得關注的技術是適應性圖案(adaptive patterning)。

封裝趨勢

一種 IC 封裝會集成多種互連方案,即將封裝中的一個結構與另一個結構相連。

主要的互連技術有 wire bond、flip-chip、晶圓級封裝(WLP)和穿透矽通孔(TSV)。

wire bond 是使用微細的線將一個結構縫合到另一個結構。flip-chip 會形成微小的凸塊或銅柱來提供封裝中的電連接。

在高端層面,業界在繼續使用 TSV 來攻關 2.5D/3D 晶片,無論這些 TSV 是工作在整個 die 上,還是在一個單獨的 interposer 上。 interposer 集成了微細的跡線來實現到 die 的電連接。

圖 2:使用 TSV 和高頻寬記憶體的 2.5D,來自三星

舉個例子,GlobalFoundries 可以實現 0.8-0.8µm 的帶 interposer 的 2.5D 設計。 GlobalFoundries 封裝部門副總裁 David McCann 說:“2.5D 的 interposer 需要精細的線和空間來實現大規模平行介面,比如在用於網路和圖形的處理器與高頻寬記憶體之間。”

2.5D 在高端應用中已經得到了推動發展,比如 FPGA、圖形晶片和網路。但 interposer 的成本和其它因素讓 2.5D 難以變成一種更加主流的技術。

為了找到一種更低成本的解決方案,業界正在開發一種新型的高端 fan-out 封裝。供應商繼續為傳統的中端空間開發 fan-out。因為 fan-out 不需要 interposer,所以它比 2.5D 更便宜。

“我們看到越來越多的關於伺服器應用的查詢。而且我們也看到在伺服器應用上使用 fan-out 的興趣也越來越大。”Advanced Semiconductor Engineering(ASE)高級工程總監 John Hunt 說,“(客戶)想要我們能在 fan-out 上做 HBM。原因有兩個。一是 interposer 的成本很高,二是 fan-out 確實能帶來更好的電性能。但你需要精細的幾何學才能做到。要在 HBM 上完成所有 4000 個 I/O 的佈線,尤其是當你有多個 HBM 連接到 GPU 時,你就需要非常精細的線。”

為此,業界希望超越 2-2µm。Hunt 說:“我們希望至少降至 1µm。那可能足夠支撐兩三年。後面的任何事情只能是猜測了。”

降至 2-2µm 及以下可以帶來很多好處。“你既能減少 RDL 的數量,也能有高密度的互連。” ASM Pacific Technology 高級技術顧問 John Lau 說,“當然,這針對的是超級電腦、伺服器、電信和網路等高端產品。”

如果業界成功開發出了高端 fan-out,那麼它將能給 2.5D 帶來一些競爭。但一般而言,2.5D 和高端 fan-out 都將會有自己的市場空間。

fan-out 本身是一種 WLP 技術,即在 IC 還在晶圓上時就進行封裝。在 fan-out 中,單個 die 會被嵌入在一種環氧樹脂材料中。在封裝中,互連會被扇出,從而實現更多的 I/O。

fan-out 技術主要有三種類型:先晶片/面向下方(chip-first/face-down)、 先晶片/面向上方(chip-first/face-up)和後晶片(chip-last,有時候也被稱為 RDL first)。

圖 3:chip-first 與 chip-last,來自 TechSearch International

fan-out 的第一波浪潮被稱為嵌入式晶圓級球柵陣列(eWLB/ embedded wafer-level ball-grid array),出現於 2009 年。今天,eWLB 封裝的涵蓋範圍是 500 到 1000 I/O,並在 10-10µm 及以下使用 1 或 2 層的 RDL。

圖 4:eWLB 的演化,來自STATS ChipPAC

去年,當蘋果公司將 fan-out 用於其 iPhone 7 後,這項技術達到了一個里程碑。傳統上,蘋果和其它智慧手機 OEM 都已經為其應用處理器集成了一種層疊封裝(PoP)技術。PoP 可靠又便宜,但在 0.5 mm 到 0.4mm 的厚度上,它就難以為繼了。

為了 iPhone 7,台積電製造了蘋果的 A10 應用處理器。基於 16nm finFET 工藝,蘋果的 A10 是用台積電的 InFO(Integrated Fan-Out)封裝的。據 TechInsights ,A10 的封裝厚度為 0.33mm 到 0.23 mm。據瞭解其使用了 5-5µm、10-10µm 和 10-10µm 三層 RDL。

今天,fan-out 的甜蜜點是 5-5µm 及以上。“對於移動或 RF 產品,10µm 線和空間就足夠好了。”STATS ChipPAC 產品技術行銷總監 Seung Wook Yoon 表示,“對於應用處理器,你可能需要 7-7µm。最小為 5-5µm。”

然而在研發方面,業界正在研發2-2µm 及以下的高密度 fan-out 或相關封裝技術。比如去年,ASE 介紹了一種名叫 Fan Out Chip on Substrate (FOCoS)的技術。這項技術針對的是伺服器領域,FOCoS 的第一個客戶將單獨的 16nm 和 28nm die 集成到了同一個封裝中。

圖 5: ASE 的 FOCoS 封裝;來自TechSearch International

FOCoS 是一種基於 fan-out composite die 技術的混合解決方案。“你在上面放上凸包。然後我們將其按照一個單個 die 進行處理,然後我們將其倒裝(flip-chip)到一個 BGA 基板上。”ASE 的 Hunt 說,“這裡基本的一點是消除了對 interposer 的需求。它在電性上比 interposer 表現得更好。”

這種封裝有 4 層 2-2.5µm的金屬層,而 ASE 還在研發新的版本。他說:“我們已經演示過 1.5-1.5µm.”

下一步是推進到 1-1µm 或更低,這面臨著一些挑戰。很顯然,客戶想要高端 fan-out 封裝達到或超越 2.5D 的表現,同時價格還要合理。“封裝尺寸也是一個難題,因為為 fan-out 所演示過的舒適區仍然相對很小。”Yole 的 Azémar 說,“總體而言,在如此之高的連接密度和封裝尺寸上,fan-out 的可靠性和成本方面都還不太清楚。我們將在一兩年後知道答案。”

但可以肯定,和當前的流程相比,1-1µm 或以下的 fan-out 將會需要不同的工藝和設備,尤其是在開發 RDL 方面。

製造 RDL 的方法有好幾種。最常見和最低成本的方法是一種基於聚合物的流程。另一種被稱為鑲嵌工藝(damascene process)的方法則是在 RDL 中沉積銅跡線。

圖 6:常見的 RDL 流程;來自 Chipbond

STATS ChipPAC 的 Yoon 說:“如果你達到了 2-2µm,就可能需要一種銅鑲嵌工藝或類似於鑲嵌的工藝。即使使用 TSV 2.5D interposer,你也需要為 1µm 線/空間使用一種銅鑲嵌工藝。這是一種不同於當前的晶圓級工藝的方法。”

最大和最關鍵的改變涉及到光刻。“為了滿足需求,這種工具需要更新。”Yoon 說,“目前我們在使用 stepper,這是一種寬頻資源。當你達到低於 2-2µm 的更精細的線和空間時,你就需要 i-line。”

另外還需要新材料。他說:“我們還必須使用一種不同程度的光刻膠來製造更精細的線寬間距。所以,這項工藝需要一種不同的光刻工具、檢測工具和不同光刻膠材料。我預計 RDL 結構還需要是鑲嵌類型。”

什麼是光刻?

光刻是一種在結構上形成細微特徵圖案的方法,在晶圓廠和封裝廠都有使用。在晶圓廠,這種工具可以處理納米級的特徵。而在封裝過程中,光刻與其它工具則被用於處理凸包、銅柱、RDL 和 TSV。這些結構是在微米級尺度上。

圖 7:fan-out 設備和材料預期;來自Yole Developpement

封裝領域存在 4 種主要的光刻設備類型:掩模對準、投影(steppers/scanners)、直接成像和鐳射燒蝕。掩模對準和 stepper 是最常見的工具,而其它技術給這些傳統系統帶來了威脅。

掩模對準已經被業界使用了很多年,是目前成本最低的工具。EV Group 和 Suss 是掩模對準業務的主要參與者。

在掩模對準時,晶圓會移動到該工具中。然後,一個帶有設定圖案的掩模被插入該系統。該掩模與晶圓對準,然後曝光,從而在晶圓表面形成 1:1 比例的圖案。

掩模對準被用於處理 5-5μm 及以上的特徵,儘管 3-3μm 也是可能的。“目前大多數人在 12-12μm 水準或 7-7μm 水準,正在接近 5-5μm。”EV Group 業務發展總監 Thomas Uhrmann 說,“如果你考慮 eWLB,你就可以在很大程度上用掩模對準做到所有事情。”

掩模對準也有一些局限性,但它們是最具成本效益的解決方案。Uhrmann 說:“如果你想在 5-5μm 或低於 5-5μm 的線和空間水準上投入生產,掩模對準仍然是完美的,而且具有優異的成本價值。”

但是對於更加精細的線和空間,封裝廠會使用 stepper。光刻封裝業務的領先供應商 Ultratech 就在銷售 1X stepper 和其它設備。其它 stepper 供應商還包括 Canon、Nikon、ORC、SMEE、Rudolph 和 Ushio。最近 Kulicke & Soffa 通過對封裝光刻創業公司 Liteq 的收購而進入了這一領域。

stepper 可以將特徵的圖像從掩模轉移到更小比例的晶圓上。這個流程不斷重複,直到晶圓被加工完成。一些系統按 1:1 或 1X 的比例處理特徵。同時,reduction stepper 可以在 2X、4X 或 5X 的比例上成像。

stepper 使用不同的曝光波長來對圖案進行圖案化。對於主流應用,封裝廠使用結合了多種不同波長(g、h 和 i)的傳統 stepper。一般而言,這種寬頻技術可被用於 2-2μm 左右及以上的圖案化。

Ultratech 光刻產品副總裁兼總經理 Rezwan Lateef 說:“對於大於 2μm 的應用,通常使用 ghi 波長(436nm、405nm 和 365nm),這通常是由一個寬頻光譜汞燈產生的。”

2-2μm 以下時,stepper 就需要不同的配置了。Lateef 說:“對於 1μm 和更小的特徵,只有 i-line(365nm)能被用於支持這些精細的解析度。”

Ultratech 和其它公司支援在同一工具中使用不同波長。“你可以通過使用一個 inline filter 將一個‘ghi’波長系統放到‘i-only’模式中。這可以帶來很好的用戶靈活性,可以開發配方以無縫的自動化的方式使用最合適的波長。”他說,“所以你可以使用‘ghi’波長並過濾掉其中的‘gh’。這種使用可選波長的能力增加了光刻系統的複雜性,但也為用戶提供了靈活性。”

但並非所有的‘ghi’工具都是類似的。據分析師稱,一些工具可以操作精細的線和空間,另一些則難以下降到 5-5μm 水準以下。

也有其它一些選擇。對於 2-2μm 及以下,許多封裝廠都使用“純”i-line 的 stepper,而並不帶有“gh”技術。一些 i-line stepper 是 2X reduction 系統,它們針對的是 1.5-1.5 μm 及以下。

一些 i-line 工具已經能處理 0.8-0.8μm的 interposer 了。 GlobalFoundries 的 McCann 說:“在這個範圍上,i-line 光刻工具是完美的。”

不管 stepper 的類型如何,其封裝流程中還是有一些難題。比如說,“純”i-line 工具在晶圓廠中被用於處理非關鍵的層。在晶圓廠中,這些工具可被用於在平面晶圓上處理特徵。

但是在 fan-out 中,情況卻不一樣了。 Ultratech 的 Lateef 說:“它們通常是重構晶圓(reconstituted wafer)。它們有很多翹曲(warpage)。確保你有合適的焦點深度(depth-of-focus)是必需的。”

此外,在 fan-out 中,die 被嵌入在一個環氧樹脂模塑膠中。其在 die 上的放置準確度是很關鍵的。但有時候,die 會在處理過程中移動,得到我們不想要的結果,這被稱為 die shift。這導致 fan-out 工藝需要使用光刻工具改進後的對準技術來補償 die shift。

“根據晶圓或面板的不同,關於高級封裝中更小幾何尺寸的主要問題也是有差異的。” Rudolph Technologies 光刻系統組副總裁兼總經理 Rich Rogoff 說,“對於晶圓和麵板,為了通過更高的 NA 實現對更小焦點深度的處理,基板的平面化是一個關鍵的挑戰。另外,更嚴格的 registration 要求意味著需要改進對準和 stage 系統。”

其中一種可能的解決方案是 Deca Technologies 開發的名叫“適應性圖案(adaptive patterning)”的技術。這項技術是為其即將到來的 fan-out 線開發的。fan-out 封裝專業公司 Deca Technologies 的銷售和行銷副總裁 Garry Pycroft 說:“fan-out 封裝所面臨的一個難題是 IC 在重構晶圓中的移動。在傳統的使用掩模的 fan-out 封裝工藝中,這種錯位可能導致與焊盤之間缺乏互連,顯然會導致出現故障單元。”

Pycroft 說:“適應性圖案工藝集成了一個檢查步驟,用以確定重構晶圓中半導體的位移,然後調整後續的工藝步驟來處理這個位移,由此可以得到更高產的互連。在你開始處理高級設計規則和多 die 封裝時,對適應性工藝的需求將變得更加緊迫。”

但可以肯定的是,成本也是一個因素。“純”i-line stepper 的價格高於傳統的“ghi”系統。因此,封裝廠需要考慮購置成本。如果高端 fan-out 市場是實實在在的,那麼投資 i-line 工具也在情理之中。風險在於這個市場可能永遠無法騰飛或產品無法達到預期。

其它選擇

除了 stepper,還有一些其它選擇。比如 Orbotech 和 Screen Semiconductor Solutions 正在開發的直接成像系統(direct imaging system),這有點像是直接寫入或無掩模光刻。

Screen,也叫 Dainippon Screen,其系統的目標是面板級的 fan-out 市場。同時,Orbotech 的技術也在 PCB 行業有所應用。其也被用於封裝中的 IC 基板。

Orbotech 的鐳射直接成像技術使用了多個光束直接在表面列印特徵,精度為 8-8μm,並且正計畫推進到 5-5μm 和 2-2μm。Orbotech 的行業行銷總監 Shavi Spinzi 說:“在高級封裝領域,你可以使用 stepper 做的很多事情都可以使用直接成像更高效地完成。”

直接成像有一些優勢。“通常來說,這是一個多光束系統,用以滿足正確的通量。”Spinzi 說,“你不需要為 stepper 或對準器使用掩模,而可以直接使用鐳射來形成你需要的圖案。因為你不需要掩模,所以你可以測量 die 的位置。而且你可以即時地計算你需要繪製的線的精確位置。”

另一家供應商 Suss 正在開發另一種被稱為受激准分子子鐳射燒蝕(excimer laser ablation)的方法。Suss 使用了 248nm 和 308nm 波長的鐳射燒蝕工具可以實現 5-5μm 到 2-2μm 的特徵。鐳射燒蝕可以用於多種封裝應用,比如溝槽和通孔。

鐳射燒蝕是一種幹式圖案工藝。該系統可以破壞表面的分子結構,直接蝕刻想要的電路圖案。

分析師表示,鐳射燒蝕大有前景,但這項技術還不成熟,還需要進一步研發。同時,我們還不清楚直接成像還能擴展到什麼程度。

顯然,i-line 是有效的,但成本是關鍵。總而言之,封裝廠必須找到一種解決方案,否則它們就可能錯過高端 fan-out 的列車。

原文連結:https://semiengineering.com/challenges-future-fan/?from=singlemessage&isappinstalled=0

今天是《半導體行業觀察》為您分享的第1331期內容,歡迎關注。

R

eading

圖 2:使用 TSV 和高頻寬記憶體的 2.5D,來自三星

舉個例子,GlobalFoundries 可以實現 0.8-0.8µm 的帶 interposer 的 2.5D 設計。 GlobalFoundries 封裝部門副總裁 David McCann 說:“2.5D 的 interposer 需要精細的線和空間來實現大規模平行介面,比如在用於網路和圖形的處理器與高頻寬記憶體之間。”

2.5D 在高端應用中已經得到了推動發展,比如 FPGA、圖形晶片和網路。但 interposer 的成本和其它因素讓 2.5D 難以變成一種更加主流的技術。

為了找到一種更低成本的解決方案,業界正在開發一種新型的高端 fan-out 封裝。供應商繼續為傳統的中端空間開發 fan-out。因為 fan-out 不需要 interposer,所以它比 2.5D 更便宜。

“我們看到越來越多的關於伺服器應用的查詢。而且我們也看到在伺服器應用上使用 fan-out 的興趣也越來越大。”Advanced Semiconductor Engineering(ASE)高級工程總監 John Hunt 說,“(客戶)想要我們能在 fan-out 上做 HBM。原因有兩個。一是 interposer 的成本很高,二是 fan-out 確實能帶來更好的電性能。但你需要精細的幾何學才能做到。要在 HBM 上完成所有 4000 個 I/O 的佈線,尤其是當你有多個 HBM 連接到 GPU 時,你就需要非常精細的線。”

為此,業界希望超越 2-2µm。Hunt 說:“我們希望至少降至 1µm。那可能足夠支撐兩三年。後面的任何事情只能是猜測了。”

降至 2-2µm 及以下可以帶來很多好處。“你既能減少 RDL 的數量,也能有高密度的互連。” ASM Pacific Technology 高級技術顧問 John Lau 說,“當然,這針對的是超級電腦、伺服器、電信和網路等高端產品。”

如果業界成功開發出了高端 fan-out,那麼它將能給 2.5D 帶來一些競爭。但一般而言,2.5D 和高端 fan-out 都將會有自己的市場空間。

fan-out 本身是一種 WLP 技術,即在 IC 還在晶圓上時就進行封裝。在 fan-out 中,單個 die 會被嵌入在一種環氧樹脂材料中。在封裝中,互連會被扇出,從而實現更多的 I/O。

fan-out 技術主要有三種類型:先晶片/面向下方(chip-first/face-down)、 先晶片/面向上方(chip-first/face-up)和後晶片(chip-last,有時候也被稱為 RDL first)。

圖 3:chip-first 與 chip-last,來自 TechSearch International

fan-out 的第一波浪潮被稱為嵌入式晶圓級球柵陣列(eWLB/ embedded wafer-level ball-grid array),出現於 2009 年。今天,eWLB 封裝的涵蓋範圍是 500 到 1000 I/O,並在 10-10µm 及以下使用 1 或 2 層的 RDL。

圖 4:eWLB 的演化,來自STATS ChipPAC

去年,當蘋果公司將 fan-out 用於其 iPhone 7 後,這項技術達到了一個里程碑。傳統上,蘋果和其它智慧手機 OEM 都已經為其應用處理器集成了一種層疊封裝(PoP)技術。PoP 可靠又便宜,但在 0.5 mm 到 0.4mm 的厚度上,它就難以為繼了。

為了 iPhone 7,台積電製造了蘋果的 A10 應用處理器。基於 16nm finFET 工藝,蘋果的 A10 是用台積電的 InFO(Integrated Fan-Out)封裝的。據 TechInsights ,A10 的封裝厚度為 0.33mm 到 0.23 mm。據瞭解其使用了 5-5µm、10-10µm 和 10-10µm 三層 RDL。

今天,fan-out 的甜蜜點是 5-5µm 及以上。“對於移動或 RF 產品,10µm 線和空間就足夠好了。”STATS ChipPAC 產品技術行銷總監 Seung Wook Yoon 表示,“對於應用處理器,你可能需要 7-7µm。最小為 5-5µm。”

然而在研發方面,業界正在研發2-2µm 及以下的高密度 fan-out 或相關封裝技術。比如去年,ASE 介紹了一種名叫 Fan Out Chip on Substrate (FOCoS)的技術。這項技術針對的是伺服器領域,FOCoS 的第一個客戶將單獨的 16nm 和 28nm die 集成到了同一個封裝中。

圖 5: ASE 的 FOCoS 封裝;來自TechSearch International

FOCoS 是一種基於 fan-out composite die 技術的混合解決方案。“你在上面放上凸包。然後我們將其按照一個單個 die 進行處理,然後我們將其倒裝(flip-chip)到一個 BGA 基板上。”ASE 的 Hunt 說,“這裡基本的一點是消除了對 interposer 的需求。它在電性上比 interposer 表現得更好。”

這種封裝有 4 層 2-2.5µm的金屬層,而 ASE 還在研發新的版本。他說:“我們已經演示過 1.5-1.5µm.”

下一步是推進到 1-1µm 或更低,這面臨著一些挑戰。很顯然,客戶想要高端 fan-out 封裝達到或超越 2.5D 的表現,同時價格還要合理。“封裝尺寸也是一個難題,因為為 fan-out 所演示過的舒適區仍然相對很小。”Yole 的 Azémar 說,“總體而言,在如此之高的連接密度和封裝尺寸上,fan-out 的可靠性和成本方面都還不太清楚。我們將在一兩年後知道答案。”

但可以肯定,和當前的流程相比,1-1µm 或以下的 fan-out 將會需要不同的工藝和設備,尤其是在開發 RDL 方面。

製造 RDL 的方法有好幾種。最常見和最低成本的方法是一種基於聚合物的流程。另一種被稱為鑲嵌工藝(damascene process)的方法則是在 RDL 中沉積銅跡線。

圖 6:常見的 RDL 流程;來自 Chipbond

STATS ChipPAC 的 Yoon 說:“如果你達到了 2-2µm,就可能需要一種銅鑲嵌工藝或類似於鑲嵌的工藝。即使使用 TSV 2.5D interposer,你也需要為 1µm 線/空間使用一種銅鑲嵌工藝。這是一種不同於當前的晶圓級工藝的方法。”

最大和最關鍵的改變涉及到光刻。“為了滿足需求,這種工具需要更新。”Yoon 說,“目前我們在使用 stepper,這是一種寬頻資源。當你達到低於 2-2µm 的更精細的線和空間時,你就需要 i-line。”

另外還需要新材料。他說:“我們還必須使用一種不同程度的光刻膠來製造更精細的線寬間距。所以,這項工藝需要一種不同的光刻工具、檢測工具和不同光刻膠材料。我預計 RDL 結構還需要是鑲嵌類型。”

什麼是光刻?

光刻是一種在結構上形成細微特徵圖案的方法,在晶圓廠和封裝廠都有使用。在晶圓廠,這種工具可以處理納米級的特徵。而在封裝過程中,光刻與其它工具則被用於處理凸包、銅柱、RDL 和 TSV。這些結構是在微米級尺度上。

圖 7:fan-out 設備和材料預期;來自Yole Developpement

封裝領域存在 4 種主要的光刻設備類型:掩模對準、投影(steppers/scanners)、直接成像和鐳射燒蝕。掩模對準和 stepper 是最常見的工具,而其它技術給這些傳統系統帶來了威脅。

掩模對準已經被業界使用了很多年,是目前成本最低的工具。EV Group 和 Suss 是掩模對準業務的主要參與者。

在掩模對準時,晶圓會移動到該工具中。然後,一個帶有設定圖案的掩模被插入該系統。該掩模與晶圓對準,然後曝光,從而在晶圓表面形成 1:1 比例的圖案。

掩模對準被用於處理 5-5μm 及以上的特徵,儘管 3-3μm 也是可能的。“目前大多數人在 12-12μm 水準或 7-7μm 水準,正在接近 5-5μm。”EV Group 業務發展總監 Thomas Uhrmann 說,“如果你考慮 eWLB,你就可以在很大程度上用掩模對準做到所有事情。”

掩模對準也有一些局限性,但它們是最具成本效益的解決方案。Uhrmann 說:“如果你想在 5-5μm 或低於 5-5μm 的線和空間水準上投入生產,掩模對準仍然是完美的,而且具有優異的成本價值。”

但是對於更加精細的線和空間,封裝廠會使用 stepper。光刻封裝業務的領先供應商 Ultratech 就在銷售 1X stepper 和其它設備。其它 stepper 供應商還包括 Canon、Nikon、ORC、SMEE、Rudolph 和 Ushio。最近 Kulicke & Soffa 通過對封裝光刻創業公司 Liteq 的收購而進入了這一領域。

stepper 可以將特徵的圖像從掩模轉移到更小比例的晶圓上。這個流程不斷重複,直到晶圓被加工完成。一些系統按 1:1 或 1X 的比例處理特徵。同時,reduction stepper 可以在 2X、4X 或 5X 的比例上成像。

stepper 使用不同的曝光波長來對圖案進行圖案化。對於主流應用,封裝廠使用結合了多種不同波長(g、h 和 i)的傳統 stepper。一般而言,這種寬頻技術可被用於 2-2μm 左右及以上的圖案化。

Ultratech 光刻產品副總裁兼總經理 Rezwan Lateef 說:“對於大於 2μm 的應用,通常使用 ghi 波長(436nm、405nm 和 365nm),這通常是由一個寬頻光譜汞燈產生的。”

2-2μm 以下時,stepper 就需要不同的配置了。Lateef 說:“對於 1μm 和更小的特徵,只有 i-line(365nm)能被用於支持這些精細的解析度。”

Ultratech 和其它公司支援在同一工具中使用不同波長。“你可以通過使用一個 inline filter 將一個‘ghi’波長系統放到‘i-only’模式中。這可以帶來很好的用戶靈活性,可以開發配方以無縫的自動化的方式使用最合適的波長。”他說,“所以你可以使用‘ghi’波長並過濾掉其中的‘gh’。這種使用可選波長的能力增加了光刻系統的複雜性,但也為用戶提供了靈活性。”

但並非所有的‘ghi’工具都是類似的。據分析師稱,一些工具可以操作精細的線和空間,另一些則難以下降到 5-5μm 水準以下。

也有其它一些選擇。對於 2-2μm 及以下,許多封裝廠都使用“純”i-line 的 stepper,而並不帶有“gh”技術。一些 i-line stepper 是 2X reduction 系統,它們針對的是 1.5-1.5 μm 及以下。

一些 i-line 工具已經能處理 0.8-0.8μm的 interposer 了。 GlobalFoundries 的 McCann 說:“在這個範圍上,i-line 光刻工具是完美的。”

不管 stepper 的類型如何,其封裝流程中還是有一些難題。比如說,“純”i-line 工具在晶圓廠中被用於處理非關鍵的層。在晶圓廠中,這些工具可被用於在平面晶圓上處理特徵。

但是在 fan-out 中,情況卻不一樣了。 Ultratech 的 Lateef 說:“它們通常是重構晶圓(reconstituted wafer)。它們有很多翹曲(warpage)。確保你有合適的焦點深度(depth-of-focus)是必需的。”

此外,在 fan-out 中,die 被嵌入在一個環氧樹脂模塑膠中。其在 die 上的放置準確度是很關鍵的。但有時候,die 會在處理過程中移動,得到我們不想要的結果,這被稱為 die shift。這導致 fan-out 工藝需要使用光刻工具改進後的對準技術來補償 die shift。

“根據晶圓或面板的不同,關於高級封裝中更小幾何尺寸的主要問題也是有差異的。” Rudolph Technologies 光刻系統組副總裁兼總經理 Rich Rogoff 說,“對於晶圓和麵板,為了通過更高的 NA 實現對更小焦點深度的處理,基板的平面化是一個關鍵的挑戰。另外,更嚴格的 registration 要求意味著需要改進對準和 stage 系統。”

其中一種可能的解決方案是 Deca Technologies 開發的名叫“適應性圖案(adaptive patterning)”的技術。這項技術是為其即將到來的 fan-out 線開發的。fan-out 封裝專業公司 Deca Technologies 的銷售和行銷副總裁 Garry Pycroft 說:“fan-out 封裝所面臨的一個難題是 IC 在重構晶圓中的移動。在傳統的使用掩模的 fan-out 封裝工藝中,這種錯位可能導致與焊盤之間缺乏互連,顯然會導致出現故障單元。”

Pycroft 說:“適應性圖案工藝集成了一個檢查步驟,用以確定重構晶圓中半導體的位移,然後調整後續的工藝步驟來處理這個位移,由此可以得到更高產的互連。在你開始處理高級設計規則和多 die 封裝時,對適應性工藝的需求將變得更加緊迫。”

但可以肯定的是,成本也是一個因素。“純”i-line stepper 的價格高於傳統的“ghi”系統。因此,封裝廠需要考慮購置成本。如果高端 fan-out 市場是實實在在的,那麼投資 i-line 工具也在情理之中。風險在於這個市場可能永遠無法騰飛或產品無法達到預期。

其它選擇

除了 stepper,還有一些其它選擇。比如 Orbotech 和 Screen Semiconductor Solutions 正在開發的直接成像系統(direct imaging system),這有點像是直接寫入或無掩模光刻。

Screen,也叫 Dainippon Screen,其系統的目標是面板級的 fan-out 市場。同時,Orbotech 的技術也在 PCB 行業有所應用。其也被用於封裝中的 IC 基板。

Orbotech 的鐳射直接成像技術使用了多個光束直接在表面列印特徵,精度為 8-8μm,並且正計畫推進到 5-5μm 和 2-2μm。Orbotech 的行業行銷總監 Shavi Spinzi 說:“在高級封裝領域,你可以使用 stepper 做的很多事情都可以使用直接成像更高效地完成。”

直接成像有一些優勢。“通常來說,這是一個多光束系統,用以滿足正確的通量。”Spinzi 說,“你不需要為 stepper 或對準器使用掩模,而可以直接使用鐳射來形成你需要的圖案。因為你不需要掩模,所以你可以測量 die 的位置。而且你可以即時地計算你需要繪製的線的精確位置。”

另一家供應商 Suss 正在開發另一種被稱為受激准分子子鐳射燒蝕(excimer laser ablation)的方法。Suss 使用了 248nm 和 308nm 波長的鐳射燒蝕工具可以實現 5-5μm 到 2-2μm 的特徵。鐳射燒蝕可以用於多種封裝應用,比如溝槽和通孔。

鐳射燒蝕是一種幹式圖案工藝。該系統可以破壞表面的分子結構,直接蝕刻想要的電路圖案。

分析師表示,鐳射燒蝕大有前景,但這項技術還不成熟,還需要進一步研發。同時,我們還不清楚直接成像還能擴展到什麼程度。

顯然,i-line 是有效的,但成本是關鍵。總而言之,封裝廠必須找到一種解決方案,否則它們就可能錯過高端 fan-out 的列車。

原文連結:https://semiengineering.com/challenges-future-fan/?from=singlemessage&isappinstalled=0

今天是《半導體行業觀察》為您分享的第1331期內容,歡迎關注。

R

eading